CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - yuv rgb verilog

搜索资源列表

  1. rgb2yuv

    0下载:
  2. verilog编写,rtl风格,流水线设计,实现图像rgb格式到yuv格式的转换。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1524
    • 提供者:苗苗
  1. yuv2rgb

    1下载:
  2. 图像的YUV与RGB得色域转换程序。很实用。verilog各式的,该模块已经流片通过
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1515
    • 提供者:scounix
  1. rgb2yuv1

    0下载:
  2. 这个主要是实现RGB和YUV两种色彩空间的转换,其中用到的主要思想是,verilog语言中的浮点乘法怎么运算,流水线的思想。-This is achieved mainly two kinds of RGB and YUV color space conversion, which uses the main idea is, verilog language how floating point multiplication operations, lines of thought.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1088378
    • 提供者:张元甲
  1. rgb2yuv

    1下载:
  2. 用VHDL和verilog编写的RGB颜色空间到YUV颜色空间的转换程序, 是FPGA视频处理中的常用程序!-Written in VHDL and verilog using RGB color space to YUV color space conversion process is commonly used in video processing FPGA program!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2371
    • 提供者:gilbert
  1. YUV2RGB

    1下载:
  2. 关于YUV转RGB的verilog源代码、说明文档和modelsin仿真,相信对大家一定有很大的帮助,我费了好长时间才找到的!-YUV to RGB on the verilog source code, documentation and modelsin simulation, we believe that there will be a great help, I spent a good long time to find it!
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1874445
    • 提供者:冯谋朝
  1. xapp283

    0下载:
  2. YUV到RGB的色彩空间转换器(VHDL,Verilog and doc)-Color Space Converter: Y’CrCb to R’G’B’
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:175012
    • 提供者:wicky
  1. RGB2YUV

    0下载:
  2. RGB -> YUV转换verilog代码-RGB-> YUV verilog
  3. 所属分类:Special Effects

    • 发布日期:2017-03-28
    • 文件大小:12382
    • 提供者:猫贼
  1. RGB2YUV(Verilog)

    0下载:
  2. YUV颜色空间转RGB颜色空间,verilog代码实现-YUV to RGB,verilog
  3. 所属分类:Special Effects

    • 发布日期:2017-03-24
    • 文件大小:2196
    • 提供者:蔡玉强
  1. rgb2yuv

    0下载:
  2. RGB to YUV的verilog程序-RGB to YUV in verilog program
  3. 所属分类:图形图象

    • 发布日期:2017-04-04
    • 文件大小:1257
    • 提供者:高伟
  1. YCbCr2RGB_O

    0下载:
  2. 此代码是把YUV转成RGB的Verilog程序,多谢下载-This code is to convert RGB to YUV Verilog program, thank you download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1407
    • 提供者:Evan Xie
  1. etd-0407109-183702-81-001[1]

    0下载:
  2. 文章介绍了YUV向RGB颜色空间转换的硬件电路实现算法.在高基乘法算法基础上,建立了参数化高基乘法算法模型,并给出了Verilog HDL描述 小数乘法的整数乘法近似和近似误差给予了详细的讨论.采用乘法单元复用的设计结果将在两个时钟周期内完成YUV向RGB的颜色空间转换.-This paper introduces the YUV to RGB color space conversion hardware algorithm. Matrix multiplication algorithm i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3997496
    • 提供者:jjj
  1. rgb2yuv

    0下载:
  2. 在Altera的开发环境上,用Verilog语言实现的RGB转YUV,附有Testbench-In Altera s development environment, using Verilog language of RGB to YUV, with a Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6105651
    • 提供者:吴言
  1. RRRGB2YUVG

    0下载:
  2. RGB -> YUV转换verilog代码-RGB -> YUV verilog, -RGB-> YUV conversion verilog code-RGB-> the YUV Verilog
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:12577
    • 提供者:sailyan
  1. YUV_to_RGB

    0下载:
  2. YUV to RGB converter in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:1555
    • 提供者:Alex
  1. RGB2YCbCr

    0下载:
  2. 图像转换的verilog代码(RGB图像转换为YUV图像)-The image conversion Verilog code (RGB image is converted to YUV image)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1172
    • 提供者:麦涛涛
  1. rgb2yuv

    0下载:
  2. rgb颜色空间向YUV颜色空间转换算法,Verilog HDL语言-conversion algorithm of rgb color space to YUV color space , Verilog HDL language
  3. 所属分类:Special Effects

    • 发布日期:2017-11-13
    • 文件大小:1740
    • 提供者:孙璐
  1. ycrcb2rgb.v

    0下载:
  2. 用verilog编写的最简单的YUV转rgb的代码,请大家参考-yuv2rgb,by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1582
    • 提供者:牛虻
  1. RGB2YUV

    0下载:
  2. 用verilog语言将RGB颜色空间转换为YUV颜色空间,可以使用的,大家可以试试,初学者可以帮助理解-Convert RGB to YUV with verilog language, can use, you can have a try, can help beginners to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:756
    • 提供者:余康为
  1. RGB2YUV_TB

    0下载:
  2. 将RGB颜色空间转换为YUV颜色空间的testbench,用verilog写得,可以测试看看。-Convert RGB color space to YUV color space testbench, written in verilog, can test and see.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:939
    • 提供者:余康为
  1. CTE

    0下载:
  2. YUV訊號轉RGB訊號 RGB訊號轉YUV訊號(YUV to RGB and RGB to YUV)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:1024
    • 提供者:王俞婷
搜珍网 www.dssz.com